Pagina 1 di 2 1 2 ultimoultimo
Visualizzazione dei risultati da 1 a 10 su 11

Discussione: [VHDL] Info

  1. #1

    [VHDL] Info

    Qualcuno di voi sa programmare in VHDL strutturale? :master:


    avrei un paio di dubbi che devo assolutamente dissolvere prima dell'esame

    ad esempio , come si programma in vhdl un flip flop? devo solo descrivere le porte che regolano gli ingressi??tipo Ja=XQb+QaQb ?
    E' tutta colpa di Berlusconi !
    Help [Ciclico] Fisco, domande varie

    Avatar

  2. #2
    ciao io di VHDL non mi ricordo tanto, xò sono sicuro che c'è una parte di entity declaration e una parte di architecure body.

    in entity declaration metti, ad esempio:

    entityu flip_flop is

  3. #3
    Originariamente inviato da mauri@como
    ciao io di VHDL non mi ricordo tanto, xò sono sicuro che c'è una parte di entity declaration e una parte di architecure body.

    in entity declaration metti, ad esempio:

    entityu flip_flop is

    ok ma x descrivere un circuito con flipflop devo descrivere il ff o solo le equazioni d'ingresso al ff?
    E' tutta colpa di Berlusconi !
    Help [Ciclico] Fisco, domande varie

    Avatar

  4. #4
    scusa ho sciacciato invio per sbaglio.......

    allora:

    entity flip_flop is
    port (A,B: in bit;
    OUT: out bit;
    )
    end flip_flop;


    architecure STRUCTURAL of flip_flop is
    begin
    .....
    qui definisci cosa fare con a,b
    e l'out associato
    end STRUCTURAL;

    in ogni caso ricordo che per un FF servono i processi, che però non mi ricordo!!

    ciao

  5. #5
    Originariamente inviato da mauri@como
    scusa ho sciacciato invio per sbaglio.......

    allora:

    entity flip_flop is
    port (A,B: in bit;
    OUT: out bit;
    )
    end flip_flop;


    architecure STRUCTURAL of flip_flop is
    begin
    .....
    qui definisci cosa fare con a,b
    e l'out associato
    end STRUCTURAL;

    in ogni caso ricordo che per un FF servono i processi, che però non mi ricordo!!

    ciao

    i processi in vhdl non li abbiano studiati! forse + avanti lo faremo in "architettura dei calcolatori" :master:

    cmq senza processi basta definire le porte dell'eq. d'ingresso..giusto?
    E' tutta colpa di Berlusconi !
    Help [Ciclico] Fisco, domande varie

    Avatar

  6. #6
    si..comunque adesso cominciano a venirmi in mente un pò di cose..
    il VHDL strutturale è detto così perchè in ogni entity si istanziano più entity di basso livello..
    in sostanza questo significa che tu di devi creare una serie di porte logiche (and or o not) che connesse opportunamente di danno il tuo FF.

    Ovviamente la configurazione dipende dal FF (latch, D, SR....)

  7. #7
    Originariamente inviato da mauri@como
    si..comunque adesso cominciano a venirmi in mente un pò di cose..
    il VHDL strutturale è detto così perchè in ogni entity si istanziano più entity di basso livello..
    in sostanza questo significa che tu di devi creare una serie di porte logiche (and or o not) che connesse opportunamente di danno il tuo FF.

    Ovviamente la configurazione dipende dal FF (latch, D, SR....)

    ok grazie!
    E' tutta colpa di Berlusconi !
    Help [Ciclico] Fisco, domande varie

    Avatar

  8. #8
    di niente..

    se riesco a trovare un manuale on-line ti faccio sapere..
    un mio prof ne aveva scritto 1 ma non trovo più il link!!

    ciao

  9. #9
    Originariamente inviato da mauri@como
    di niente..

    se riesco a trovare un manuale on-line ti faccio sapere..
    un mio prof ne aveva scritto 1 ma non trovo più il link!!

    ciao

    non è male come idea!
    io non riesco a trovare nulla che fa al caso mio!!!
    E' tutta colpa di Berlusconi !
    Help [Ciclico] Fisco, domande varie

    Avatar

  10. #10
    mi spiace ma i miei prof hanno rimosso ogni link dai rispettivi siti.

    se non fosse troppo tardi e ti interessasse ancora potresti mandarmi il tuo indirizzo in pvt, in modo che ti possa mandare quelli che avevo scaricato io.
    sono 2 pdf da 300k e 1300k..

    ciao

Permessi di invio

  • Non puoi inserire discussioni
  • Non puoi inserire repliche
  • Non puoi inserire allegati
  • Non puoi modificare i tuoi messaggi
  •  
Powered by vBulletin® Version 4.2.1
Copyright © 2024 vBulletin Solutions, Inc. All rights reserved.